Skip to:

MRFN Member Login
Program Application

Minnesota Nano Center (MNC)

The Minnesota Nano Center, or MNC, is a state-of-the-art facility for interdisciplinary research in nanoscience and applied nanotechnology. The Center offers a comprehensive set of tools to help researchers develop new micro- and nanoscale devices, such as integrated circuits, advanced sensors, microelectromechanical systems (MEMS), and microfluidic systems. The MNC is also equipped to support nanotechnology research that spans many science and engineering fields, allowing advances in areas as diverse as cell biology, high performance materials, and biomedical device engineering.

The MNC is composed of two main facilities, located in Keller Hall and in the new Physics and Nanotechnology (PN) building. The new PN Lab facility features a 5000 square foot Class 100 cleanroom with state-of-the-art tools for fabricating structures under 100 nanometers in size. The PN facility also offers two new specialized labs to support interdisciplinary research in bio- nanotechnology and nano-and micrometer-scale materials.

The MNC also maintains full facilities for microfabrication in Keller Hall. The Keller Lab has a 3000 square foot Class 100 clean room, and an additional 4000 square feet of labs and support areas. The tool set in this clean room is ideal for fabricating MEMS and other devices with features size of one micron or larger.

The labs and tools of the Minnesota Nano Center are open to all qualified users. We welcome researchers from industry and other academic institutions. Use this site to learn about the MNC and the nanotechnology research advancing at the University of Minnesota, and find out how we can support your research and development efforts!

Instruments

  1. AJA Sputterer

    Description

    The AJA sputter sytem utilizes ionized gas (Ar, O2, N2) to sputter material from source targets to substrates, depositing a thin film in the process. There are 4 shuttered guns on teh sustem: 2 DC, and 2 RF.

  2. AJA Sputterer 2

    Description

    The AJA sputter system utilizes ionized gas (Ar, O2, N2) to sputter material form source targets to substrates, depositing a thin film in the process. There are 6 shuttered guns on the system: 3 RF and 3 DC.

  3. ALD

    Atomic layer deposition system.

  4. Amray FESEM

    Amray 3600 field-emmission SEM for high-resolution imaging.

  5. Asher

    Oxygen plasma cleaning system.

  6. Atomic Force Microscope

    Description

    The Dimension 3000 consists of a rigid stage mounted on granite block, and features a beam deflection SPM head and an on-axis video microscope. A flexible cantilever, with a sharp probe, is mounted on one end of a culindrical piezoelectric tube. The piezo tube is rigidly mounted near the top of the microscope.

  7. AV Etcher

    Description

    The AV etcher is a RIE system with 6 etchant gases available. The current gases available are: Ar, CHF3, CF4, O2, SF6, and CH3OH. The system is designed to etch silicon, silicon nitride, silicon oxide, and photo-resist (and other permitted organics). With the use of the CH3OH gas, additional magnetic materials can be etched (Co, Fe, and Ni).

  8. Canon Stepper

    Description

    The Canon is a optical (I-Line) imaging lithography system. It can take 5 inch mask also called a retical and reduce the image size 1/5 to profect it on the wafer. The method of Lithography is sometimes called a projection form of lithography.

  9. CEE 200X Spinner

    Description

    CEE spinner is programmable used for applying E-beam resists and imprint resists uniformly on a substrate. Spin speed, length, acceleration, and exhaust rate can be altered to achieve desired resist thickness.

  10. CHA Evaporator

    Description

    The CHA Evaporator is a single source electron beam evaporator. It has a lift-off fixture and a planetary fixture for 4" wafers. It can run up to six different materials in a run. the lift-off fixture holds 9 wafers, and the planetary fixture holds 6 wafers each; since there are 3 planetary fixtures that can be used, a total of 18 wafers can be fun at one time.

  11. Confocal Microscope

    Description

  12. Critical Point Dryer

    Description,

    The Critical Point Dryer is capable of drying various size wafers (maximum of 6 inches) down to 1 square cm pieces. Liquid carbon dioxide replaces the solvent used in the last rinse process. The pressure and heat are then increased to change the liquid carbon dioxide to a gas. The pressure is slowly decreased to atmosphere thereby not allowing carbon dioxide to condense. The lack of the liquid/vapor stage allows for hte minimizing of stiction in beam release.

  13. CV-IV

    Description

    The mercury probe, when used with the HP4280A capacitance meter and a simple program, probides a quick, non-destructive C-V measurement, but it must be performed on a sample with a minimum radius of 2 cm to prevent mercury leakage. Used with the Signatone probing station the HP4280A provides the ability to measure the capacitance of a structure at the wafer level. The HP4145A Parameter Analyzer and Signatone probe station are set up to carry out the computer controlled measurement of the I-V characteristics of a BJT, FET, or diode.

  14. DC Sputter

    Description

    The sputtering system is used to deposit Aluminum, Platinum or Titanium films onto substrates. This occurs when high voltage is passed into the vacujm chamber an dionizes the Argon gas within. These positively charged Argon atoms strike the metal target at the top of the chamber and the "newly freed" metal particle deposit on teh substrate resting below.

  15. Deep Trench Etcher

    Description

    The deep trench etcher etches vertical trenches in silicon wafers.

  16. Dektak

    Description

    The Dektak Surface Profiler is a microprocessor-based instrument used for taking accurate measurements on small vertical features randing in height from 100 angstroms to 655k angstroms. It obtains data by moving a sensitive diamond tipped stylus over teh substrate. The data is recorded and sent to the transducer for digitization and future plot manipulation.

  17. Exhausted Blue M Oven

    Description

    This is an exhausted oven with a controllable N2 flow gauge. The temperature may be adjusted up to 500 degrees F (260 degrees C), the N2 flow rate can be adjusted between 0-60 SCFH. There is a center rack for placing substrates.

  18. FEI FIB

    Description

    The Quata 200 3D is a DualBeam system (fitted with both an E-beam and an Ion Beam) comprised of a tungsten electron column and a Ga ion column that uses either high vacuum or environmental SEM (ESEM) technology to section, image, and analyze a wide range of conducting and non-conducting samples. This system offers teh capability for in-situ dynamic experiments, 3D imaging and analysis, and transmission electron microscope (TEM) sample preparation for more in-depth analysis.

  19. Four Point Probe

    Description

    The four point probe measures thin film or doped silicon surface resistance values. This can measure small samples to whole 4" (100 mm) wafers.

  20. Gaertner Ellipsometer

    Description

    Ellipsometry is a non-destructive method of measuring the index of refraction and film thickness of a dielectric film on a reflecting substrate. It is a highly accurate and repeatable measurement. Ellipsometry involves illuminating the surfae of a sample with monochromatic light having a known an dcontrollable state of polarization and analyzing the poarization state of the reflected light. 

  21. Hard Bake Oven

    Description

    This is an exhausted oven with a monitored temperature setting of 120 degrees C. There is a center rack for placing substrate boats. The left dial, the Control thermostat is set at 50 the right dial, the Dial Safety is set at 70.

  22. Headway

    Description

    The Headway spinner is used for applying photoresist or other light-sensitive viscous liquids uniformly on a substrate. Spin speed and length of spin time can be altered to achieve desired film thickness.

  23. Ion Mill

    Description

    The Intlvac Ion Mill is used for non-chemical etching of thin films. To accomplish this, the chamber is first puped down to low pressure and then back filled with Argon gas. The Argon is then ionized and accelerated by an electric field toward the substrate. This kinetically energized Ar "sputters" or removes the film from the substrate.

  24. JEOL SEM

    Description

    The JSM-6610LV is a scanning electron microscope (SEM) comprised of a tungsten electron column and an Oxford Instruments Inca X-Act EDS. It can image and analyze a wide range of conducting and non-conducting samples, as well as provide compositional information using the EDS.

  25. Karl Suss Contact Mask Aligner

    Description

  26. Karl Suss Contact Mask Aligner

    Description

  27. KLA-Tencor P-16

    Description

    The KLA-Tencor P-16 Surface profiler provides leading edge surface measurement and analysis performance capability on a Windows XP operating system. Some of the key features of hte P-16 are as follows:

  28. LPCVD

    Description

  29. Mask Maker

    Description

    The Heidelberg DWL200 Mask Writer has the following options: 2mm write head (plotting speed 13.6 mm2/minute, minimum feature-600nm), 5mm write head (plotting speed 77mm2/minute, minimum feature-800nm), photomask plates for plotting 2"-8" photomasks, wafer plates for plotting 2", 4", 6", and 8" wafers, optical autofocus, gray scale lithography with 256 gray scale values, front and back side alignment, metrology tool, and two data conversion work stations for fracturing researches patterns.

  30. Mini-Brute Furnace

    Description

    The Mini-Brute system is a horizontal tube atmospheric furnace system for oxidation, annealing, and alloying and is manyally controlled by the user. The maximum wafer size is four inches or 100mm and is supported by manual transport. This research type of furnace has a three zone single element with an atmospheric quartz tube. This tube allows most material that are NOT allowed in the other furnaces.

  31. MJB-3

    Description

    The MNC Karl Suss MJB3 exposure system is an optical contact aligner. It is currently only used for alignment but not for exposure. The exposure mode is disabled.

  32. Nanonex NX-B200 Nanoimprinter

    Description

    Nanonex NX-B200 nanoimprinter is another method of transfer a pattern to a wafer with good resolution. This nanoimprinter is designed for thermal and photo-curable nanoimprint lithography (NIL).

  33. Nanospec-1

    Desciption

    The NANOSPEC/AFT is a computerized film thickness measurement system. The Nanospec separates light from a white source into its component wavelengths, from 480 to 800 nanometers. The interference of the light waves is then measured using several algorithms and thckness is determined. This instrument offers programs on thirteen specific film types, relative reflectance, and multipurpose thick film capability. A dielectric film in the range of the instrument extends from less than 100A to 40,000A, with a refelctvity of +/-2% to +/-5%.

  34. Nanospec-2

    Description

    The NANOSPEC/AFT is a computerized film thickness measurement system. The Nanospec separateslight from a white source into its component wavelenths, from 480 to 800 nanometers. The interference of the light waves is tehn measured using several algorithms and thickness is determined. This instrument offers programs on thirteen specific film types, relative reflectance, and multipurpose thick film capability. A dielectric film in teh range of the instrument extends from less than 100A to 40,000A, with a reflectivity of +/-2% to +/-5%.

  35. Oriel

    Description

    The Oriel is a flood exposure system that produces a collimated and uniform beam of the UB light in the 350-450 nm region of the spectrum and is ideal for exposure of many photoresists. Most of the undesired light is filtered by internal optics.

  36. Oxford

    Description

    The Plasmalab System100 ICP180 is a loaded locked plasma etching system made by Oxford Ltd. The process chamber is configured for Reactive Ion Etching with a ICP (inductively coupled plasma). The system control is done by computer which provides fully automatic operation. The system currently uses Flourine and Chlorine based gases along with other support gasses.

  37. PECVD

    Description

    The Plasmatherm PECVD used to deposit thin films (Silicon Nitride, Silicon Dioxide, or Amorphous Silicon) from a gas state to a solid state on teh substrate. The chemical reaction takes place after a RF plasma is created between teh top electrode and the heated platen. The platen is heated by an embedded resistance heater witha range of 80-340 degrees Celsius. This system has processes setup for the deposition of Silicon Nitride, Silicon Dioxide and Amorphous Silicon.

  38. Prebake Dehydration Oven

    Description

    This is a non-exhausted oven with a monitored temerature setting of 150 degrees C. There is a center rack for placing substrate boats. The dial is set at 150 degrees C.

  39. Precision Spin Coater

    Introduction

    The CEE-1 spinner is a PC-controlled spinner with a touch screen interface and display used for applying photoresist uniformly on a substrate. It is capable of spin speeds from 0 to 12,000 rpm and spin speed accelerations of 0 to 30,000 rpm/s. Spin speeds and time can be altered to achieve desired photoresist thickness. Substrate size capability is from <1cm to 200mm round. Over 250,000 recipes with multiple steps can be programmed.

  40. Precision Spin Coater

    Description

    The CEE-2 spinner is a programmable spinner used for applying photoresist uniformly on a substrate. Spin speed and length of spin time can be altered to achieve desired photoresist thickness.

  41. RTA-1

    Description

    The rapid thermal annealer consists of a small quartz-lined chamber which is rapidly heated by high intersity, tungsten-halogen lamps. A single wafer loaded into the chamber can be heated to temperatures of 1250 C. Most commonly the RTA is used for surface repair following ion implantion and also for ohmic contacts.

  42. Rudolph Ellipsometer

    Description

    Ellipsometry is a non-destructive method of measuring the index of refraction and film thickness of dielectric film on a reflecting substrate. It is a highly accurate and repeatable measurement. Ellipsometry invovles illuminating the surface of a sample with monochromatic light having a known and controllable state of polarization and analyzing the polarization state of the reflected light.

  43. SB6

    Description

    The SB6 Bonder is capable of silicon fusion, anodic, and eutectic bonding. The system can handle 2-3 four inch wafers with minor Z axis adjustment. There are two separate heads; one for anodic bonding, and another for fusion bonding. The web site will indicate the current head in the machine. IF a different head is needed, arrangements need to be made 2 weeks in advance of system use through the Nano Fabrication Staff.

  44. Soft Bake Oven

    Description

    This is an exhausted oven with a monitored temperature setting between 85 degrees C-105 degrees C. teh Temperature set LED is set at 95 degrees C, the Hi-Safety Thermostat dial is set at 40.

  45. Spray/Puddle Developer

    Description

    The Develop Spinner CEE-4 spinner is a PC-controlled automated dispense tool. Instructions are fed on a PC-based touch screen interface running on windows XP. It is capable of spin speeds from 0-12 rpm and spin speed accelerations of 0 to 30,000rpm/s.

  46. Stress Test-1

    Description

  47. STS Etcher

    Description

    The 320 is a manually loaded batch plasma etching system. Teh process chamber is configured for REactive Ion Etching. Substrates reside on a powered electrode. Chamber wall is grounded. A higher DC bias is developed on the electrode. System control is done by a personal computer which provides full automatic operation. Teh system currently uses Flourine based gases.

  48. SUPER-NUOVA Hot Plates

    Description

    The 7-inch by 7-inch ceramic top hot plate has a closed loop feedback control, which will accurately maintain temperature +/- 10 degrees C for a 2" diameter area at the center of the hotplate. Temperature stability at the center of the top plate surface is +/- 1.0 degrees C.

  49. Temescal E-beam

    Description

    The electron beam evaporator is used to deposit thin films onto substrates. Evaporation is done undewr a high vacuum in a bell jar chamber. Evaporation is achieved by heating a source with an electron beam. As the source material evaporates, it forms athin film on the samples.

  50. Thermal Evaporator

    Description

    The Thermal Evaporator evaporates deposits metal onto substrates. Under vacuum, current is passed through a filament boat, which heats up the metal contained on the boat. When the evaporation current is reached, the melted metal evaporates onto the substrate.

  51. Tylan Furnace Bank

    Description

    The Tylan sustem is a furnace system for oxidation, annealing, and alloying. The system is a horizontal four tube stack atmospheric furnace with automatic process control. The maximum wafer size is 6 six inches or 150mm. This is supported on a canilever transport, and is controlled by the system software.

  52. UVO Cleaner

    Description

    The Jelight UVO-Cleaner is a device that relies on the ultraviolet light and oxone to clean organic material from teh surfaces of the processed sample.

  53. Varian E-beam

    Description

    The electron beam evaporator is used to solid dielectrics (no powders) onto substrates. Evaporation is done under a high vacuum in a water cooled bell jar chamber. Evaporation is achieved by heating a source with an electron beam. As the source material evaporates, it forms a thin film on teh samples. Three units control the electron beam: a power supply, source controller and a sweep controller.

  54. Vistec EBPG5000+

     

     

  55. Wafer Saw

    Description

    The DISCO 2D/6HT can cut 4 inch substrates of silicon, glass, or Pyrex. Substrates that are thicker than 4 inches need a different blade and additional training.

  56. Wet Benches
  57. Xenon di-fluoride e1-series etching system

    DEscription

    This is a system designed to expose samples to xenon di-flouride gas in a cyclic or pulsed mode.

  58. YES 310

    Dual Function system that uses anhydrous ammonia gas for image reversal. Image reversal allows the control of the slop of photoresist wall to regulate Critical Dimension problems and enhance resolution of the image. By reversing the slope of the walls of the photoresist, the walls remain exposed after metal deposition, so that a simple resist strip can "lif off" unwanted metal from teh substrate without causing notching.