Skip to:

MRFN Member Login
Program Application

UtahNanofab

 

The Utah Nanofab encompasses a class 100/1000/10,000 cleanroom, packaging, and test areas. Commissioned in 2012, the new 18,000 square foot facility provides the specialized custom-built infrastructure, equipment, processes, and expertise necessary for researchers and companies to design, build, and package prototype micro- and nano-scale devices including microfluidic devices. Capabilities include device modeling, design layout, mask fabrication, thin film deposition, patterning, and device packaging including laser microwelding.

The facility and staff serves researchers and companies from the campus and beyond, including faculty and researchers from regional institutions as well as companies who use the facilities to generate proof-of-concept and data supporting new product ideas.

Visionary ideas and inspired creativity have helped the Utah Nanofab become a world leader in the fabrication of neural prosthetics, biomedical microfluidic systems and biosensor chips. The discoveries made in the Nanofab help create life-saving medical devices, faster microchips, and more efficient energy systems, resulting in many scientific publications and new companies. Visit our history page to learn that our roots go all the way back to the fundamental patent on CMOS microelectronic devices.

Instruments

  1. ALD System

    Cambridge Fiji F200 Atomic Layer Deposition with current capabilities that include Al2O3, Alumina, HfO2, Pt, SiO2, and Ti.

  2. Aligner

    Electronic Vision EV420 Contact Aligner with top and bottom side alignment.

  3. Aligner

    Suss MA 1006 Contact Aligner with top and bottom side alignment.

  4. Aligner

    OAI Hybralign Series 200 Contact Aligner.

  5. Analytical Probe Station

    Cascade RF1-CM1 Electrical Probe station for device characterization.

  6. CMP System

    Strasbaugh 6EC Chemical Mechanical Polishing System

  7. CV System

    Keithley System for measuring capacitance voltage

  8. Dicing Saw

    Disco DAD641 Programmable Dicing Saw

  9. Dicing Saw

    Disco DAD3320 Automatic Dicing Saw

  10. Diffusion/Anneal Furnace

    Canary Noble IV Atmospheric Furnace for Boron or Phosphorous Doping, Diffusion, and Annealing.

  11. E-Beam Evaporator

    Denton SJ20C E-beam evaporator with Al, Ag, Au, Au/Ge, Cr, Cu, Ge, In, Mo, Nb, Ni, NiCr, Ta, Ti, W, and Zn available.

  12. E-Beam Evaporator

    CHA SEL 600 E-beam evaporator used primarily for Al.

  13. Electrical Test Station

    Keithley 4200 Electrical Probe station for device characterization.

  14. Heidelberg uPG101 900nm, 1um, 5um
  15. Laser Micromachining System

    Optec Micromaster KrF Excimer Laser Micromachining System

  16. Laser Pattern Generation System

    Heidelberg uPG101 Laser Pattern Generator System for Chromium Masks or Direct Wafer writing.

  17. Lasermarker, Nd-YAG

    Lasermark LM-4000 Nd-YAG Laser Marking/Micromachining System

  18. LPCVD Doped/Undoped Polysilicon

    Expertech CTR-125 LPCVD Furnace with auto-load cantilever for Phosphorous-Doped or Undoped Amorphous or Polycrystalline Silicon Deposition.

  19. LPCVD LTO/PSG

    Expertech CTR-125 LPCVD Furnace with auto-load cantilever for Low Temperature Oxide or PhosphoSilicate Glass Deposition.

  20. LPCVD Nitride

    Canary Noble IV LPCVD Furnace for Stoichiometric or Low Stress (Si-Rich) Nitride Deposition.

  21. LPCVD Nitride

    Expertech CTR-125 LPCVD Furnace with auto-load cantilever for Stoichiometric or Low Stress (Si-Rich) Nitride Deposition.

  22. LPCVD Polysilicon

    Canary Noble IV LPCVD Furnace for Amorphous or Polycrystalline Silicon Deposition.

  23. LPCVD TEOS

    Expertech CTR-125 LPCVD Furnace with auto-load cantilever for TEOS Deposition.

  24. microfluidics prototyping (uWire EDM, laser uWelding, PDMS process, UV cure)
  25. Oven

    Binder FD53-UL Oven for photoresist baking/curing.

  26. Oven, Vapor Prime

    YES LP-III HMDS Vapor Prime Oven

  27. Oxidation Furnace

    Canary Noble IV Atmospheric Furnace for Dry or Wet (Pyrogenic) Oxidation.

  28. Parylene Coater

    Speciality Coating Systems PDS 2010 Parylene Coater for deposition of Parylene-C films.

  29. PECVD Deposition

    Oxford 80+ PECVD chamber capable of depositing Si3N4, SiONx, and SiO2 films.

  30. Plasama Etcher, DRIE

    Oxford 100 ICP Deep Reactive Ion Etcher capable of high aspect ratio silicon etch.

  31. Plasma Etcher

    Technics PE-IIA Oxygen Plasma Etcher.

  32. Plasma Etcher, DRIE

    STS Aspect Deep Reactive Ion Etcher capable of high aspect ratio silicon etch.

  33. Plasma Etcher, Dry Isotropic

    Xactix X2 Xenon Difluoride Dry Isotropic etcher for silicon etching.

  34. Plasma Reactive Ion Etcher

    Oxford 80+ Reactive Ion Etcher with Ar, CF4, CXl2, O2, and SF6 gases available.

  35. Spin Coater

    Brewer CEE 100 Series table-top Spin Coater for substrates up to 6" diameter.

  36. Spin Coater

    Solitec 5100 Series stand-alone Spin Coater for substrates up to 9" diameter.

  37. Spin Coater

    Brewer CEE 200 Series table-top Spin Coater for substrates up to 6" diameter.

  38. Spin Develop/Etcher

    Brewer CEE 200X Series stand-alone spin develop or etch system for substrates up to 6" diameter.

  39. Sputtering System

    Denton Discovery 18 Sputtering System with 3 target capacity, 2 RF sources, substrate rotation and heat.

  40. Sputtering System

    TMV Super Series with 6 cathodes (Au, Cr, Ir, Pt, Ti, and TiW) and four 6" rotating substrate holders.

  41. Surface Profiler

    KLA-Tencor P-10 Surface Profilometer

  42. Surface Profiler

    KLA-Tencor P-20 Surface Profilometer

  43. Thin Film Stress Measurement System

    KLA-Tencor Flexus 2320 laser-based system for measuring stress of thin films through changes in radius of curvature.

  44. Thin Film Thickness Measurement System

    Nanospec 3000 Reflectometer for measuring thickness of thin films.

  45. Thin Film Thickness Measurement System

    n and k 1500 Spectrophotometer for measuring thickness of thin films with automatic stage and mapping capability.

  46. Wafer Bonder

    Electronic Vision EVG 520IS System for Anodic Wafer Bonding.

  47. Wet Benches and SRD's

    Multiple Wet Benches, Fume Hoods, and Spin/Rinse/Dryers with various chemistries available.

  48. Wire Bonder

    MEI 1204W Wire/Wedge Bonder